Home
last modified time | relevance | path

Searched refs:wildcard (Results 26 – 43 of 43) sorted by relevance

12

/plugin/advrack/
H A Draphael.js32 wildcard = "*", variable
146 items = [e[names[i]], e[wildcard]];
303 if (names[i] != wildcard) {
/plugin/tline/timeline_ajax/
H A Dsimile-ajax-bundle.js1129 }catch(F){SimileAjax.Debug.exception("Error firing event of name "+C+" to wildcard handler",F);
/plugin/eventline/timeline_ajax/
H A Dsimile-ajax-bundle.js1129 }catch(F){SimileAjax.Debug.exception("Error firing event of name "+C+" to wildcard handler",F);
/plugin/authgooglesheets/vendor/guzzlehttp/guzzle/
H A DUPGRADING.md260 - `getPath` no longer supports wildcard expressions. Use something better like
H A DCHANGELOG.md992 * Adding wildcard support to Guzzle\Common\Collection::getPath()
/plugin/jmol2/jmol/
H A DCHANGES.txt307 # bug fix: lcaoCartoon wildcard problems
806 # display insertion = "?" // single-character wildcard here
1106 # new feature: \? in atom name escapes ? wildcard. Still no * in names,
1995 # new feature: wildcard at beginning for draw/pmesh/isosurface/etc. *xxx on/off/delete allowed
/plugin/diagramsnet/lib/
H A Dworkbox-9fe249eb.js.map1wildcard ` +\n `character (${wildcards}). Strings are now always interpreted as…
H A Dworkbox-bed83ea8.js.map1wildcard ` +\n `character (${wildcards}). Strings are now always interpreted as…
H A Dworkbox-f163abaa.js.map1wildcard ` +\n `character (${wildcards}). Strings are now always interpreted as…
/plugin/passpolicy/
H A D10k-common-passwords.txt4935 wildcard
/plugin/photogallery/phpThumb/docs/
H A Dphpthumb.changelog.txt234 * Added wildcard domain options for config settings
/plugin/dokuprism/prism/
H A Dprism.js107 Prism.languages.makefile={comment:{pattern:/(^|[^\\])#(?:\\(?:\r\n|[\s\S])|[^\\\r\n])*/,lookbehind:!0},string:{pattern:/(["'])(?:\\(?:\r\n|[\s\S])|(?!\1)[^\\\r\n])*\1/,greedy:!0},"builtin-target":{pattern:/\.[A-Z][^:#=\s]+(?=\s*:(?!=))/,alias:"builtin"},target:{pattern:/^(?:[^:=\s]|[ \t]+(?![\s:]))+(?=\s*:(?!=))/m,alias:"symbol",inside:{variable:/\$+(?:(?!\$)[^(){}:#=\s]+|(?=[({]))/}},variable:/\$+(?:(?!\$)[^(){}:#=\s]+|\([@*%<^+?][DF]\)|(?=[({]))/,keyword:/-include\b|\b(?:define|else|endef|endif|export|ifn?def|ifn?eq|include|override|private|sinclude|undefine|unexport|vpath)\b/,function:{pattern:/(\()(?:abspath|addsuffix|and|basename|call|dir|error|eval|file|filter(?:-out)?|findstring|firstword|flavor|foreach|guile|if|info|join|lastword|load|notdir|or|origin|patsubst|realpath|shell|sort|strip|subst|suffix|value|warning|wildcard|word(?:list|s)?)(?=[ \t])/,lookbehind:!0},operator:/(?:::|[?:+!])?=|[|@]/,punctuation:/[:;(){}]/};
149 Prism.languages.roboconf={comment:/#.*/,keyword:{pattern:/(^|\s)(?:(?:external|import)\b|(?:facet|instance of)(?=[ \t]+[\w-]+[ \t]*\{))/,lookbehind:!0},component:{pattern:/[\w-]+(?=[ \t]*\{)/,alias:"variable"},property:/[\w.-]+(?=[ \t]*:)/,value:{pattern:/(=[ \t]*(?![ \t]))[^,;]+/,lookbehind:!0,alias:"attr-value"},optional:{pattern:/\(optional\)/,alias:"builtin"},wildcard:{pattern:/(\.)\*/,lookbehind:!0,alias:"operator"},punctuation:/[{},.;:=]/}; class in Prism.languages.roboconf
180 Prism.languages.verilog={comment:{pattern:/\/\/.*|\/\*[\s\S]*?\*\//,greedy:!0},string:{pattern:/"(?:\\(?:\r\n|[\s\S])|[^"\\\r\n])*"/,greedy:!0},"kernel-function":{pattern:/\B\$\w+\b/,alias:"property"},constant:/\B`\w+\b/,function:/\b\w+(?=\()/,keyword:/\b(?:alias|and|assert|assign|assume|automatic|before|begin|bind|bins|binsof|bit|break|buf|bufif0|bufif1|byte|case|casex|casez|cell|chandle|class|clocking|cmos|config|const|constraint|context|continue|cover|covergroup|coverpoint|cross|deassign|default|defparam|design|disable|dist|do|edge|else|end|endcase|endclass|endclocking|endconfig|endfunction|endgenerate|endgroup|endinterface|endmodule|endpackage|endprimitive|endprogram|endproperty|endsequence|endspecify|endtable|endtask|enum|event|expect|export|extends|extern|final|first_match|for|force|foreach|forever|fork|forkjoin|function|generate|genvar|highz0|highz1|if|iff|ifnone|ignore_bins|illegal_bins|import|incdir|include|initial|inout|input|inside|instance|int|integer|interface|intersect|join|join_any|join_none|large|liblist|library|local|localparam|logic|longint|macromodule|matches|medium|modport|module|nand|negedge|new|nmos|nor|noshowcancelled|not|notif0|notif1|null|or|output|package|packed|parameter|pmos|posedge|primitive|priority|program|property|protected|pull0|pull1|pulldown|pullup|pulsestyle_ondetect|pulsestyle_onevent|pure|rand|randc|randcase|randsequence|rcmos|real|realtime|ref|reg|release|repeat|return|rnmos|rpmos|rtran|rtranif0|rtranif1|scalared|sequence|shortint|shortreal|showcancelled|signed|small|solve|specify|specparam|static|string|strong0|strong1|struct|super|supply0|supply1|table|tagged|task|this|throughout|time|timeprecision|timeunit|tran|tranif0|tranif1|tri|tri0|tri1|triand|trior|trireg|type|typedef|union|unique|unsigned|use|uwire|var|vectored|virtual|void|wait|wait_order|wand|weak0|weak1|while|wildcard|wire|with|within|wor|xnor|xor)\b/,important:/\b(?:always|always_comb|always_ff|always_latch)\b(?: *@)?/,number:/\B##?\d+|(?:\b\d+)?'[odbh] ?[\da-fzx_?]+|\b(?:\d*[._])?\d+(?:e[-+]?\d+)?/i,operator:/[-+{}^~%*\/?=!<>&|]+/,punctuation:/[[\];(),.:]/};
/plugin/elasticsearch/vendor/ruflin/elastica/
H A DCHANGELOG.md263 * The `Wildcard::setValue()` changed its signature: use it to set the value of the wildcard query only.
/plugin/ckgdoku/ckeditor/
H A DCHANGES.md796 * [#10202](http://dev.ckeditor.com/ticket/10202): Introduced wildcard support in the [Allowed Conte…
/plugin/syntaxhighlighter4/dist/
H A Dsyntaxhighlighter.js.map1wildcard';\n\n var verbs = 'write where wait use update unregister undo trace test tee take suspe…
/plugin/ckgedit/ckeditor/
H A DCHANGES.md1216 * [#10202](https://dev.ckeditor.com/ticket/10202): Introduced wildcard support in the [Allowed Cont…
/plugin/dirtylittlehelper/mermaid/editor/docs/
H A D2.2.js.map1 …dentifier or wildcard expected\")),\n WildcardExpected: new CSSIssueType('css-wildcardexpected'…
H A Dbundle.js.map1 … null; // return early for invalid input\n }\n // Form RegExp for wildcard matches\n let …

12