Home
last modified time | relevance | path

Searched refs:signed (Results 1 – 25 of 461) sorted by relevance

12345678910>>...19

/plugin/authgooglesheets/vendor/google/apiclient-services/src/ContainerAnalysis/
H A DGrafeasV1beta1IntotoDetails.php45 public function setSigned(Link $signed) argument
47 $this->signed = $signed;
54 return $this->signed;
/plugin/authgooglesheets/vendor/google/apiclient-services/src/Dfareporting/
H A DOrderDocument.php76 public $signed; variable in Google\\Service\\Dfareporting\\OrderDocument
275 public function setSigned($signed) argument
277 $this->signed = $signed;
284 return $this->signed;
/plugin/gtime/gtlib/asn1/cms/
H A DCMSSignerInfo.php192 $signed = new ASN1Set();
195 $signed->add($attr);
203 $tag->setObject($signed);
/plugin/fedauth/Auth/OpenID/
H A DAssociation.php332 $signed = $message->getArg(Auth_OpenID_OPENID_NS, 'signed');
333 if (!$signed || Auth_OpenID::isFailure($signed)) {
338 $signed_list = explode(',', $signed);
H A DAX.php919 * @param signed: Whether non-signed args should be processsed. If
925 static function fromSuccessResponse($success_response, $signed=true) argument
928 if ($signed) {
H A DServer.php356 function Auth_OpenID_CheckAuthRequest($assoc_handle, $signed, argument
360 $this->signed = $signed;
386 $signed = $message;
387 if ($signed->hasKey(Auth_OpenID_OPENID_NS, 'mode')) {
388 $signed->setArg(Auth_OpenID_OPENID_NS, 'mode', 'id_res');
391 $result = new Auth_OpenID_CheckAuthRequest($assoc_handle, $signed);
401 $is_valid = $signatory->verify($this->assoc_handle, $this->signed);
/plugin/openid/Auth/OpenID/
H A DAssociation.php337 $signed = $message->getArg(Auth_OpenID_OPENID_NS, 'signed');
338 if (!$signed || Auth_OpenID::isFailure($signed)) {
343 $signed_list = explode(',', $signed);
H A DServer.php400 private $signed = ''; variable in Auth_OpenID_CheckAuthRequest
403 function __construct($assoc_handle, $signed, $invalidate_handle = null) argument
406 $this->signed = $signed;
431 $signed = $message;
432 if ($signed->hasKey(Auth_OpenID_OPENID_NS, 'mode')) {
433 $signed->setArg(Auth_OpenID_OPENID_NS, 'mode', 'id_res');
436 $result = new Auth_OpenID_CheckAuthRequest($assoc_handle, $signed);
450 $is_valid = $signatory->verify($this->assoc_handle, $this->signed);
H A DAX.php937 * @param bool $signed Whether non-signed args should be processsed. If
943 static function fromSuccessResponse($success_response, $signed=true) argument
946 if ($signed) {
/plugin/elasticsearch/vendor/elasticsearch/elasticsearch/docs/
H A Dconnecting.asciidoc102 certificate has been signed by a public Certificate Authority (CA), or if it is
103 a self-signed certificate.
123 If your certificate has been signed by a public Certificate Authority and your
159 ===== Self-signed Certificates
161 Self-signed certificates are certs that have not been signed by a public CA.
162 They are signed by your own organization. Self-signed certificates are often
167 If you are using a self-signed certificate, you need to provide the certificate
190 self-signed certificat
[all...]
/plugin/jplayer/vendor/james-heinrich/getid3/getid3/
H A Dgetid3.lib.php329 * @param bool $signed
348 if ($signed && !$synchsafe) {
364 * @param bool $signed
368 public static function LittleEndian2Int($byteword, $signed=false) { argument
369 return self::BigEndian2Int(strrev($byteword), false, $signed);
399 * @param bool $signed
408 $maskbyte = (($synchsafe || $signed) ? 0x7F : 0xFF);
410 if ($signed) {
444 * @param bool $signed
448 public static function Bin2Dec($binstring, $signed=false) { argument
[all …]
/plugin/jmol2/jmol/
H A DREADME.txt70 An equivalent version of the applet, but this is a "signed" or
81 of signed applets, such as accessing the System clipboard or writing files.
91 unsigned or signed).
116 The signed version of the split applet. This version allows the user
129 4 full copies of the applet (signed or unsigned, split or not).
/plugin/jukebox/id3/
H A Dgetid3.lib.php237 function BigEndian2Int($byteword, $synchsafe=false, $signed=false) { argument
247 if ($signed && !$synchsafe) {
269 function LittleEndian2Int($byteword, $signed=false) { argument
270 return getid3_lib::BigEndian2Int(strrev($byteword), false, $signed);
284 function BigEndian2String($number, $minbytes=1, $synchsafe=false, $signed=false) { argument
288 $maskbyte = (($synchsafe || $signed) ? 0x7F : 0xFF);
290 if ($signed) {
319 function Bin2Dec($binstring, $signed=false) { argument
321 if ($signed) {
/plugin/geophp/vendor/funiq/geophp/src/Geometry/
H A DPolygon.php67 * @param bool|false $signed Usually we want to get positive area, but vertices order (CW or CCW) can be determined from signed area.
71 public function area($exteriorOnly = false, $signed = false) argument
97 $area = $signed ? ($a / 2) : abs(($a / 2));
/plugin/zenlogin/
H A Dplugin.info.txt6 …ges are applied to Zenphotos user database as well. It provides a single signed on mechanism as we…
/plugin/adfs/lang/en/
H A Dintro.txt7 Please note that PHP will check the certificate validity, so if you use a self signed or corporate …
/plugin/codeprettify/code-prettify/src/
H A Dlang-vhdl.js41 …aracter|boolean|integer|real|time|string|severity_level|positive|natural|signed|unsigned|line|text…
/plugin/authgoogle/google/auth/
H A DGoogle_OAuth2.php378 $signed = $segments[0] . "." . $segments[1];
398 if ($public_key->verify($signed, $signature)) {
/plugin/letsencrypt/
H A DLescript.php364 openssl_sign($protected64.'.'.$payload64, $signed, $privateKey, "SHA256");
366 $signed64 = Base64UrlSafeEncoder::encode($signed);
/plugin/sphinxsearch/
H A Dchangelog9 * Added type casting for sphinx IDS from signed to unsigned as it required by
/plugin/jplayer/vendor/james-heinrich/getid3/demos/
H A Ddemo.mp3header.php395 function BigEndian2Int($byteword, $synchsafe=false, $signed=false) { argument
405 if ($signed && !$synchsafe) {
428 function LittleEndian2Int($byteword, $signed=false) { argument
429 return BigEndian2Int(strrev($byteword), false, $signed);
445 function BigEndian2String($number, $minbytes=1, $synchsafe=false, $signed=false) { argument
449 $maskbyte = (($synchsafe || $signed) ? 0x7F : 0xFF);
451 if ($signed) {
1280 function IsWithinBitRange($number, $maxbits, $signed=false) { argument
1281 if ($signed) {
/plugin/sphinxsearch-was/
H A Dchangelog19 * Added type casting for sphinx IDS from signed to unsigned as it required by
/plugin/authgooglesheets/vendor/google/auth/
H A DREADME.md173 [secure your IAP app with signed headers](https://cloud.google.com/iap/docs/signed-headers-howto).
287 [iap-id-tokens]: https://cloud.google.com/iap/docs/signed-headers-howto
/plugin/jplayer/vendor/happyworm/jplayer/
H A DCLA.md15 … that conflicts with the terms of this license. For example, if you have signed an agreement requi…
/plugin/diagramsnet/lib/js/rough/
H A DREADME.md21 // the final |0 converts the unsigned value into a signed value

12345678910>>...19