Home
last modified time | relevance | path

Searched refs:ENDPUNCT (Results 1 – 1 of 1) sorted by relevance

/plugin/diagramsnet/lib/math/extensions/a11y/
H A Dmathjax-sre.js218 …gleton",SETCOLLECT:"set collection",STRING:"string",SEQUENCE:"sequence",ENDPUNCT:"endpunct",STARTP… property in sre.SemanticAttr.Role
314 …Pred.singlePunctAtPosition(a,b,a.length-1)?c.role=sre.SemanticAttr.Role.ENDPUNCT:b.every(sre.Seman…
736 …type===sre.SemanticAttr.Type.PUNCTUATED&&a.role===sre.SemanticAttr.Role.ENDPUNCT&&2===a.childNodes…