Home
last modified time | relevance | path

Searched refs:ansi (Results 1 – 18 of 18) sorted by relevance

/plugin/authgooglesheets/vendor/phpseclib/phpseclib/phpseclib/File/
H A DANSI.php150 private $ansi; variable in phpseclib3\\File\\ANSI
200 $this->ansi = '';
236 if (strlen($this->ansi)) {
237 $this->ansi .= $source[$i];
242 case $this->ansi == "\x1B=":
243 $this->ansi = '';
251 $this->tokenization[] = $this->ansi;
254 switch ($this->ansi) {
366 $this->ansi = '';
376 $this->ansi = '';
[all …]
/plugin/tablelayout/
H A Dyarn.lock36 ansi-escapes@^3.0.0:
40 ansi-regex@^2.0.0:
44 ansi-regex@^3.0.0:
48 ansi-styles@^2.2.1:
52 ansi-styles@^3.2.1:
275 has-ansi "^2.0.0"
276 strip-ansi "^3.0.0"
1126 has-ansi@^2.0.0:
2205 slice-ansi@1.0.0:
2290 strip-ansi@^3.0.0, strip-ansi@^3.0.1:
[all …]
/plugin/dropfiles/
H A Dyarn.lock65 ansi-escapes@^3.0.0:
69 ansi-regex@^2.0.0:
73 ansi-regex@^3.0.0:
77 ansi-styles@^2.2.1:
81 ansi-styles@^3.1.0:
416 has-ansi "^2.0.0"
1233 has-ansi@^2.0.0:
2513 slice-ansi@1.0.0:
2619 strip-ansi@^3.0.0, strip-ansi@^3.0.1:
2625 strip-ansi@^4.0.0:
[all …]
/plugin/findologicxmlexport/vendor/sebastian/object-enumerator/
H A D.travis.yml16 …- travis_retry composer update --no-interaction --no-ansi --no-progress --no-suggest --optimize-au…
/plugin/fetchmedia/
H A Dyarn.lock65 ansi-escapes@^2.0.0:
69 ansi-regex@^2.0.0:
73 ansi-regex@^3.0.0:
77 ansi-styles@^2.2.1:
81 ansi-styles@^3.1.0:
835 has-ansi "^2.0.0"
1638 has-ansi@^2.0.0:
2768 slice-ansi@0.0.4:
2870 strip-ansi@^3.0.0, strip-ansi@^3.0.1:
2876 strip-ansi@^4.0.0:
[all …]
/plugin/findologicxmlexport/vendor/phpunit/php-timer/
H A D.travis.yml21 …- travis_retry composer update --no-interaction --no-ansi --no-progress --no-suggest --optimize-au…
/plugin/findologicxmlexport/vendor/sebastian/code-unit-reverse-lookup/
H A D.travis.yml18 …- travis_retry composer update --no-interaction --no-ansi --no-progress --no-suggest --optimize-au…
/plugin/findologicxmlexport/vendor/phpunit/php-token-stream/
H A D.travis.yml16 - travis_retry composer update --no-interaction --no-ansi --no-progress --no-suggest
/plugin/findologicxmlexport/vendor/phpunit/phpunit-mock-objects/
H A D.travis.yml18 …- travis_retry composer update --no-interaction --no-ansi --no-progress --no-suggest --optimize-au…
/plugin/findologicxmlexport/vendor/sebastian/diff/
H A D.travis.yml21 …- travis_retry composer update --no-interaction --no-ansi --no-progress --no-suggest --optimize-au…
/plugin/structsection/
H A Dyarn.lock433 ansi-colors@^4.1.1:
438 ansi-regex@^2.0.0:
443 ansi-regex@^5.0.0:
448 ansi-styles@^2.2.1:
453 ansi-styles@^3.2.1:
460 ansi-styles@^4.0.0, ansi-styles@^4.1.0:
1087 has-ansi "^2.0.0"
1669 has-ansi@^2.0.0:
2519 slice-ansi@^4.0.0:
2619 strip-ansi@^3.0.0:
[all …]
/plugin/findologicxmlexport/vendor/phpunit/php-code-coverage/
H A D.travis.yml31 …- travis_retry composer update --no-interaction --no-ansi --no-progress --no-suggest --optimize-au…
/plugin/sequencediagram/bower_components/lodash/
H A Dyarn.lock23 ansi-regex@^2.0.0:
27 ansi-styles@^2.2.1:
31 ansi-styles@~1.0.0:
884 has-ansi "^2.0.0"
885 strip-ansi "^3.0.0"
894 strip-ansi "~0.1.0"
952 wrap-ansi "^2.0.0"
1539 has-ansi@^2.0.0:
2974 strip-ansi@^3.0.0, strip-ansi@^3.0.1:
2980 strip-ansi@~0.1.0:
[all …]
/plugin/sequencediagram/bower_components/raphael/
H A Dyarn.lock199 ansi-regex@^2.0.0:
203 ansi-regex@^3.0.0:
207 ansi-styles@^3.2.1:
500 ansi-styles "^3.2.1"
555 strip-ansi "^4.0.0"
556 wrap-ansi "^2.0.0"
1084 strip-ansi "^3.0.1"
2454 strip-ansi "^3.0.0"
2479 strip-ansi@^3.0.0, strip-ansi@^3.0.1:
2485 strip-ansi@^4.0.0:
[all …]
/plugin/findologicxmlexport/vendor/phpunit/phpunit/
H A D.travis.yml28 - DEFAULT_COMPOSER_FLAGS="--no-interaction --no-ansi --no-progress --no-suggest"
/plugin/codebutton_ext/
H A Daction.php64 'title' => $this->getLang('ansi-C'),
H A Daction.php.bak64 'title' => $this->getLang('ansi-C'),
/plugin/xlsx2dw/packages/xlsx/
H A Dxlsx.mjs4003 case 'lpstr-ansi': o = __lpstr(this, this.l); size = 4 + __readUInt32LE(this, this.l); break;
6455 var ansiPath = blob.read_shift(0, 'lpstr-ansi');
10450 var o = ["{\\rtf1\\ansi"];
19152 v.AnsiUserType = o.read_shift(0, "lpstr-ansi");
19159 o.l-=4; v.Reserved1 = o.read_shift(0, "lpstr-ansi");