Lines Matching refs:mode

4817 Deflate.prototype.push = function (data, mode) {  argument
4824 _mode = (mode === ~~mode) ? mode : ((mode === true) ? Z_FINISH : Z_NO_FLUSH);
5177 Inflate.prototype.push = function (data, mode) { argument
5190 _mode = (mode === ~~mode) ? mode : ((mode === true) ? c.Z_FINISH : c.Z_NO_FLUSH);
8018 state.mode = BAD;
8031 state.mode = BAD;
8136 state.mode = BAD;
8149 state.mode = TYPE;
8154 state.mode = BAD;
8300 this.mode = 0; /* current inflate mode */
8367 state.mode = HEAD;
8592 if (state.mode === TYPE) { state.mode = TYPEDO; } /* skip check */
8612 switch (state.mode) {
8615 state.mode = TYPEDO;
8638 state.mode = FLAGS;
8648 state.mode = BAD;
8653 state.mode = BAD;
8666 state.mode = BAD;
8672 state.mode = hold & 0x200 ? DICTID : TYPE;
8690 state.mode = BAD;
8695 state.mode = BAD;
8712 state.mode = TIME;
8739 state.mode = OS;
8765 state.mode = EXLEN;
8796 state.mode = EXTRA;
8833 state.mode = NAME;
8860 state.mode = COMMENT;
8884 state.mode = HCRC;
8898 state.mode = BAD;
8911 state.mode = TYPE;
8927 state.mode = DICT;
8942 state.mode = TYPE;
8953 state.mode = CHECK;
8974 state.mode = STORED;
8980 state.mode = LEN_; /* decode codes */
8992 state.mode = TABLE;
8996 state.mode = BAD;
9018 state.mode = BAD;
9028 state.mode = COPY_;
9032 state.mode = COPY;
9051 state.mode = TYPE;
9080 state.mode = BAD;
9086 state.mode = LENLENS;
9120 state.mode = BAD;
9125 state.mode = CODELENS;
9167 state.mode = BAD;
9221 state.mode = BAD;
9231 if (state.mode === BAD) { break; }
9236 state.mode = BAD;
9254 state.mode = BAD;
9271 state.mode = BAD;
9275 state.mode = LEN_;
9279 state.mode = LEN;
9303 if (state.mode === TYPE) {
9358 state.mode = LIT;
9364 state.mode = TYPE;
9369 state.mode = BAD;
9373 state.mode = LENEXT;
9395 state.mode = DIST;
9444 state.mode = BAD;
9449 state.mode = DISTEXT;
9472 state.mode = BAD;
9477 state.mode = MATCH;
9487 state.mode = BAD;
9527 if (state.length === 0) { state.mode = LEN; }
9533 state.mode = LEN;
9559 state.mode = BAD;
9568 state.mode = LENGTH;
9582 state.mode = BAD;
9591 state.mode = DONE;
9626 if (state.wsize || (_out !== strm.avail_out && state.mode < BAD &&
9627 (state.mode < CHECK || flush !== Z_FINISH))) {
9629 state.mode = MEM;
9643 (state.mode === TYPE ? 128 : 0) +
9644 (state.mode === LEN_ || state.mode === COPY_ ? 256 : 0);
9690 if (state.wrap !== 0 && state.mode !== DICT) {
9695 if (state.mode === DICT) {
9707 state.mode = MEM;